Trong vhdl thì loại dữ liệu integer dùng bao nhiêu bit:

LỜI MỞ ĐẦUHiện nay các mạch tích hợp ngày càng thực hiện được nhiều chức năng hơn, do đó chúng ngày càng trở nên phức tạp hơn. Các phương pháp thiết kế mạch truyền thống như dùng tối thiểu hóa hàm Boolean hay dùng sơ đồcác phần tử không còn đáp ứng được các yêu cầu đặt ra khi thiết. Hơn nữacác mạch thiết kế ra yêu cầu phải được thử nghiệm kỹ lưỡng trước khi đưavào chế tạo hàng loạt.Mặt khác cần phải xây dựng một bộ tài liệu hưỡng dẫn vận hành hệ thống hoàn chỉnh dễ hiểu và thống nhất. Chúng ta đã làm việc với một số chươngtrình phần mềm hỗ trợ cho việc thực hiện mô tả mạch hay hiểu được cách thiết kế mạch. Ví dụ: Proteus, HDL, VHDL, Verilog… Trong phần này chúng ta sử dụng ngôn ngữ mô phỏng phần cứng chuẩn công nghiệp là VHDL. Đây là ngôn ngữ sử dụng rông rãi và được IEE chấp nhận.Dưới đây là bài viết: “ Tổng quan về VHDL và thiết kế bộ đếm tiến lùi 16 bít”. Bài viếtBáo cáo thực tập chuyên ngành CHƯƠNG 1 : GIỚI THIỆU1.1. Giới thiệu về VHDLVHDL là ngôn ngữ mô tả phần cứng cho các mạch tích hợp tốc độ rấtcao, là một loại ngôn ngữ mô tả phần cứng được phát triển dùng cho trươngtrình VHSIC( Very High Speed Itergrated Circuit) của bộ quốc phòng Mỹ. Mụctiêu của việc phát triển VHDL là có được một ngôn ngữ mô phỏng phần cứngtiêu chuẩn và thống nhất cho phép thử nghiệm các hệ thống số nhanh hơn cũngnhư cho phép dễ dàng đưa các hệ thống đó vào ứng dụng trong thực tế. Ngônngữ VHDL được ba công ty Intermetics, IBM và Texas Instruments bắt đầunghiên cứu phát triển vào tháng 7 năm 1983. Phiên bản đầu tiên được công bốvào tháng 8-1985. Sau đó VHDL được đề xuất để tổ chức IEEE xem xét thànhmột tiêu chuẩn chung. Năm 1987 đã đưa ra tiêu chuẩn về VHDL ( tiêu chuẩnIEEE-1076-1987).VHDL được phát triển để giải quyết các khó khăn trong việc phát triển,thay đổi và lập tài liệu cho các hệ thống số. VHDL là một ngôn ngữ độc lậpkhông gắn với bất kỳ một phương pháp thiết kế, một bộ mô tả hay công nghệphần cứng nào. Người thiết kế có thể tự do lựa chọn công nghệ, phương phápthiết kế trong khi chỉ sử dụng một ngôn ngữ duy nhất. Và khi đem so sánh vớicác ngôn ngữ mô phỏng phần cứng khác ta thấy VHDL có một số ưu điểm hơnhẳn là: - Thứ nhất là tính công cộng: VHDL được phát triển dưới sự bảo trợ của chính phủ Mỹ và hiện nay làmột tiêu chuẩn của IEEE. VHDL được sự hỗ trợ của nhiều nhà sản xuất thiết bịcũng như nhiều nhà cung cấp công cụ thiết kế mô phỏng hệ thống. - Thứ hai là khả năng được hỗ trợ bởi nhiều công nghệ và nhiềuphương pháp thiết kế: Sinh viên : Phạm Quang Dũng GVHD : Ths. Nguyễn Văn Thắng2Báo cáo thực tập chuyên ngành VHDL cho phép thiết kế bằng nhiều phương pháp ví dụ phương phápthiết kế từ trên xuống, hay từ dưới lên dựa vào các thư viện sẵn có. VHDL cũnghỗ trợ cho nhiều loại công cụ xây dựng mạch như sử dụng công nghệ đồng bộhay không đồng bộ, sử dụng ma trận lập trình được hay sử dụng mảng ngẫunhiên. - Thứ ba là tính độc lập với công nghệ: VHDL hoàn toàn độc lập với công nghệ chế tạo phần cứng. Một mô tảhệ thống dùng VHDL thiết kế ở mức cổng có thể được chuyển thành các bảntổng hợp mạch khác nhau tuỳ thuộc công nghệ chế tạo phần cứng mới ra đời nócó thể được áp dụng ngay cho các hệ thống đã thiết kế. - Thứ tư là khả năng mô tả mở rộng: VHDL cho phép mô tả hoạt động của phần cứng từ mức hệ thống số chođến mức cổng. VHDL có khả năng mô tả hoạt động của hệ thống trên nhiềumức nhưng chỉ sử dụng một cú pháp chặt chẽ thống nhất cho mọi mức. Như thếta có thể mô phỏng một bản thiết kế bao gồm cả các hệ con được mô tả chi tiết.- Thứ năm là khả năng trao đổi kết quả: Vì VHDL là một tiêu chuẩn được chấp nhận, nên một mô hình VHDL cóthể chạy trên mọi bộ mô tả đáp ứng được tiêu chuẩn VHDL. Các kết quả mô tảhệ thống có thể được trao đổi giữa các nhà thiết kế sử dụng công cụ thiết kếkhác nhau nhưng cùng tuân theo tiêu chuẩn VHDL. Cũng như một nhóm thiếtkế có thể trao đổi mô tả mức cao của các hệ thống con trong một hệ thống lớn(trong đó các hệ con đó được thiết kế độc lập).- Thứ sáu là khả năng hỗ trợ thiết kế mức lớn và khả năng sử dụng lạicác thiết kế: VHDL được phát triển như một ngôn ngữ lập trình bậc cao, vì vậy nó cóthể được sử dụng để thiết kế một hệ thống lớn với sự tham gia của một nhómnhiều người. Bên trong ngôn ngữ VHDL có nhiều tính năng hỗ trợ việc quản lý,thử nghiệm và chia sẻ thiết kế. Và nó cũng cho phép dùng lại các phần đã cósẵn.1.2.Giới thiệu công nghệ (và ứng dụng) thiết kế mạch bằng VHDL.1.2.1 Ứng dụng của công nghệ thiết kế mạch bằng VHDLHiện nay 2 ứng dụng chính và trực tiếp của VHDL là các ứng dụng trongcác thiết bị logic có thể lập trình được (Programmable Logic Devices – PLD)(bao gồm các thiết bị logic phức tạp có thể lập trình được và các FPGA - FieldProgrammable Gate Arrays) và ứng dụng trong ASICs(Application SpecificIntegrated Circuits). Khi chúng ta lập trình cho các thiết bị thì chúng ta chỉ cần viết mã VHDLmột lần, sau đó ta có thể áp dụng cho các thiết bị khác nhau (như Altera, Xilinx,Atmel,…) hoặc có thể để chế tạo một con chip ASIC. Hiện nay, có nhiềuthương mại phức tạp (như các vi điều khiển) được thiết kế theo dựa trên ngônngữ VHDL.1.2.2 Quy trinh thiết kế mạch bằng VHDL. Như đề cập ở trên, một trong số lớn các ứng dụng của VHDL là chế tạocác mạch hoặc hệ thống trong thiết bị có thể lập trình được (PLD hoặc FPGA)Sinh viên : Phạm Quang Dũng GVHD : Ths. Nguyễn Văn Thắng3Báo cáo thực tập chuyên ngành hoặc trong ASIC. Việc chế tao ra vi mạch sẽ được chia thành 3 giai đoạn nhưsau: - Giai đoạn 1:Chúng ta bắt đầu thiết kế bằng viết mã VHDL. Mã VHDL này sẽ đượclưu vào file có đuôi là .vhd và có tên cùng với tên thực thể. Mã VHDL sẽ đượcmô tả ở tầng chuyển đổi thanh ghi. Hình 1.1. Tóm tắt quy trình thiết kế VHDL- Giai đoạn 2: Giai đoạn chế tạo:Bước đầu tiên trong quá trình chế tạo là biên dich. Quá trình biên dịch sẽchuyển mã VHDL vào một netlist ở tầng cổng.Bước thứ 2 của quá trình chế tạo là tối ưu. Quá trình tối ưu được thựchiện trên netlist ở tầng cổng về tốc độ và phạm vi.Trong giai đoạn này, thiết kế có thể được mô phỏng để kiểm tra pháthiện những lỗi xảy ra trong quá trình chế tạo.- Giai đoạn 3: Là giai đoạn ghép nối đóng gói phần mềm. Ở giai đoạn này sẽ tạo ra sựsắp xếp vật lý cho chip PLD/FPGA hoặc tạo ra mặt nạ cho ASIC.1.2.3. Công cụ EDA.Các công cụ phục vụ cho quá trình thiết kế vi mạch sẽ là:- Công cụ Active – HDL: Tạo mã VHDL và mô phỏng- Công cụ EDA (Electronic Design Automation): là công cụ tự động thiếtkế mạch điện tử. Công cụ này được dùng để phục vụ cho việc chế tạo, thực thivà mô phỏng mạch sử dụng VHDL. - Công cụ cho đóng gói: Các công cụ này sẽ cho phép tổng hợp mã VHDLvào các chip CPLD/FPGA của Altera hoặc hệ ISE của Xilinx, for Xilinx’sCPLD/FPGA chips).Sinh viên : Phạm Quang Dũng GVHD : Ths. Nguyễn Văn Thắng4Báo cáo thực tập chuyên ngành 1.2.4. Chuyển mã VHDL vào mạch.Một bộ cộng đầy đủ được mô tả trong hình dưới đây:Hinh 1.2.a. Sơ đồ tổng quát về bộ cộng đầy đủTrong đó, a , b là các bit vào cho bộ cộng, cin là bit nhớ. Đầu ra s là bittổng, cout là bit nhớ ra. Hoạt động của mạch được chỉ ra dưới dạng bảng chânlý:Hình 1.2.b. Bảng chân lý của bộ cộng đầy đủBit s và cout được tính như sau: và Từ công thức tính s và cout ta viết đoạn mã VHDL như dưới đây: Hình 1.3. Mã thiết kế bộ cộngTừ mã VHDL này, mạch vật lý được tạo ra. Tuy nhiên có nhiều cách đểthực hiện phương trình được miêu tả trong ARCHITECTURE OF, vì vậy mạchthực tế sẽ phụ thuộc vào bộ biên dịch/bộ tối ưu đang được sử dụng và đặc biệtSinh viên : Phạm Quang Dũng GVHD : Ths. Nguyễn Văn Thắng5Báo cáo thực tập chuyên ngành phụ thuộc mục đích công nghệ. Hình vẽ sau đây thể hiện một số dạng kiến trúccủa mạch cộng: Hình 1.4.a. Các ví dụ về sơ đồ mạch có thể có ứng với mã như hình 1.3Trong trường hợp này, nếu mục đích công nghệ của chúng ta là thiết bịlgic có thê lập trình được (PLD, FPGA), thì 2 kết quả cho cout thoả mãn là ởhình (b) và hình (c) ( ). Còn nếu mục đích công nghệ làASIC, thì chúng ta có thể sử dụng hình (d). Hình D sử dụng công nghệ CMOSvới các tầng transistor và các mặt nạ phủ.Bất cứ một cái mạch nào được tao ra từ mã, thì những thao tác của nó sẽ luôn luôn được kiểm tra ở mức thiết kế, như ta đã chỉ ra ở hình 1. Tất nhiên, chúng ta cũng có thể kiểm tra nó ở tầng vật lý, nhưng sau đó những thay đổi là rất tai hại.Hình dưới đây là mô phỏng kết quả của đoạn chương trình đã viết ở trên cho mạch bộ cộng đầy đủ ở hình 1.3. Hình 1.4.b: Kết quả mô phỏng bộ cộng được thiết kế theo hình 1.3Sinh viên : Phạm Quang Dũng GVHD : Ths. Nguyễn Văn Thắng6Báo cáo thực tập chuyên ngành CHƯƠNG 2 : CẤU TRÚC MÃTrong chương này, chúng ta mô tả các phần cơ bản có chứa cả các đoạnCode nhỏ của VHDL: các khai báo LIBRARY, ENTITY và ARCHITECTURE.2.1. Các đơn vị VHDL cơ bản.Một đọan Code chuẩn của VHDL gồm tối thiểu 3 mục sau:• Khai báo LIBRARY: chứa một danh sách của tất cả các thư viện được sửdụng trong thiết kế. Ví dụ: ieee, std, work, …• ENTITY: Mô tả các chân vào ra (I/O pins) của mạch• ARCHITECTURE: chứa mã VHDL, mô tả mạch sẽ họat động như thếnào.Một LIBRARY là một tập các đọan Code thường được sử dụng. Việc cómột thư viện như vậy cho phép chúng được tái sử dụng và được chia sẻ cho cácứng dụng khác. Mã thường được viết theo các định dạng của FUNCTIONS,PROCEDURES, hoặc COMPONENTS, được thay thế bên trong PACKAGESvà sau đó được dịch thành thư viện đích.2.2. Khai báo Library.- Để khai báo Library, chúng ta cần hai dòng mã sau, dòng thứ nhất chứatên thư viện, dòng tiếp theo chứa một mệnh đề cần sử dụng:LIBRARY library_name;USE library_name.package_name.package_parts;Thông thường có 3 gói, từ 3 thư viện khác nhau thường được sử dụng trongthiết kế:• ieee.std_logic_1164 (from the ieee library),• standard (from the std library), and• work (work library). Hình 2.1: Các thành phần cơ bản của một đoạn mã VHDLSinh viên : Phạm Quang Dũng GVHD : Ths. Nguyễn Văn Thắng7Báo cáo thực tập chuyên ngành Hình 2.2: Các phần cơ bản của một LibraryCác khai báo như sau:LIBRARY ieee; Dấu chấm phẩy (;) chỉ thịUSE ieee.std_logic_1164.all; kt của một câu lệnh LIBRARY std; hoặc một khai báo.một dấu 2 gạchUSE std.standard.all; ( )để bắt đầu 1 chú thích.LIBRARY work;USE work.all;Các thư viện std và work thường là mặc định, vì thế không cần khai báochúng, chỉ có thư viện ieee là cần phải được viết rõ ra.Mục đích của 3 gói/thư viện được kể ở trên là như sau: góistd_logic_1164 của thư viện ieee cho biết một hệ logic đa mức; std là một thưviện tài nguyên (kiểu dữ kiệu, i/o text ) cho môi trường thiết kế VHDL và thưviện work được sủ dụng khi chúng ta lưu thiết kế ( file .vhd, các file được tạopbởi chương trình dịch và chương trình mô phỏng…).Thực ra, thư viện ieee chứa nhiều gói như sau: std_logic_1164: định rõ STD_LOGIC ( 8 mức) và STD_ULOGIC ( 9mức) là các hệ logic đa mức std_logic_arith: định rõ các kiểu dữ liệu SIGNED và UNSIGNED,các giải thuật liên quan và so sánh toán tử. Nó cũng chứa nhiều hàmchuyển đổi dữ liệu, mà cho phép một kiểu được chuyển đổi thành cáckiểu dữ liệu khác: conv_integer(p),conv_unsigned(p, b),conv_signed(p, b), conv_std_logic_vector(p, b) std_logic_signed: chứa các hàm cho phép làm việc với dữ liệuSTD_LOGIC_VECTOR để được thực hiện chỉ khi dữ liệu là kiểuSIGNED std_logic_signed: chứa các hàm cho phép làm việc với dữ liệuSTD_LOGIC_VECTOR để được thực hiện chỉ khi dữ liệu là kiểuUNSIGNED.2.3. Entity ( thực thể).Sinh viên : Phạm Quang Dũng GVHD : Ths. Nguyễn Văn Thắng8Báo cáo thực tập chuyên ngành Một ENTITY là một danh sách mô tả các chân vào/ra ( các PORT) củamạch điện. Cú pháp như sau:ENTITY entity_name ISPORT (port_name : signal_mode signal_type;port_name : signal_mode signal_type; );END entity_name;Chế độ của tín hiệu ( mode of the signal) có thể là IN, OUT, INOUThoặc BUFFER. Ví dụ trong hình 2.3 ta có thể thấy rõ các chân IN, OUT chỉ cómột chiều (vào hoặc ra) trong khi INOUT là 2 chiều và BUFFER lại khác, tínhiệu ra phải được sử dụng từ dữ liệu bên trong.Kiểu của tín hiệu ( type of the signal) có thể là BIT, STD_LOGIC,INTEGER, …Tên của thực thể ( name of the entity) có thể lấy một tên bất kỳ, ngọai trừcác tù khóa của VHDL.Ví dụ: Xét cổng NAND ở hình 2.4, khai báo ENTITY như sau:ENTITY nand_gate ISPORT (a, b : IN BIT;x : OUT BIT);END nand_gate; Hình 2.3. Các chế độ tín hiệu Hình 2.4. Cổng NAND2.4. ARCHITECTURE ( cấu trúc).ARCHITECTURE là một mô tả mạch dùng để quyết mạch sẽ làm việcnhư thế nào ( có chức năng gì). Cú pháp như sau:ARCHITECTURE architecture_name OF entity_name IS[declarations]BEGIN(code)END architecture_name;Như thấy ở trên, một cấu trúc có 2 phần: phần khai báo ( chức năng), nơicác tín hiệu và các hằng được khai báo, và phần mã (code - từ BEGIN trởxuống).Ví dụ: Xét trở lại cổng NAND của hình 2.4ARCHITECTURE myarch OF nand_gate ISSinh viên : Phạm Quang Dũng GVHD : Ths. Nguyễn Văn Thắng9Báo cáo thực tập chuyên ngành BEGINx <= a NAND b;END myarch;Ý nghĩa của ARCHITECTURE trên là như sau: mạch phải thực hiệncông việc NAND 2 tín hiệu vào (a,b) và gán (<=) kết quả cho chân ra x.Mỗi một khai báo thực thể đều phải đi kèm với ít nhất một kiến trúc tương ứng.VHDL cho phép tạo ra hơn một kiến trúc cho một thực thể. Phần khai báo kiếntrúc có thể bao gồm các khai báo về các tín hiệu bên trong, các phần tử bêntrong hệ thống, hay các hàm và thủ tục mô tả hoạt động của hệ thống. Tên củakiến trúc là nhãn được đặt tuỳ theo người xử dụng. Có hai cách mô tả kiến trúccủa một phần tử ( hoặc hệ thống) đó là mô hình hoạt động (Behaviour) hay môtả theo mô hình cấu trúc (Structure). Tuy nhiên một hệ thống có thể bao gồm cảmô tả theo mô hình hoạt động và mô tả theo mô hình cấu trúc.+ Mô tả kiến trúc theo mô hình hoạt động: Mô hình hoạt động mô tả các hoạt động của hệ thống (hệ thống đáp ứngvới các tín hiệu vào như thế nào và đưa ra kết quả gì ra đầu ra) dưới dạng cáccấu trúc ngôn ngữ lập trình bậc cao. Cấu trúc đó có thể là PROCESS , WAIT,IF, CASE, FOR-LOOP…Ví dụ:ARCHITECTURE behavior OF nand IS Khai báo các tín hiệu bên trong và các bí danhBEGINc <= NOT(a AND b);END behavior;+ Mô tả kiến trúc theo mô hình cấu trúc: Mô hình cấu trúc của một phần tử (hoặc hệ thống) có thể bao gồm nhiềucấp cấu trúc bắt đầu từ một cổng logic đơn giản đến xây dựng mô tả cho một hệthống hoàn thiện. Thực chất của việc mô tả theo mô hình cấu trúc là mô tả cácphần tử con bên trong hệ thống và sự kết nối của các phần tử con đó. Mô tả cú pháp: architecture identifier of entity_name is Architecture_declarative_part begin all_concurrent_statements end [architecture][architecture_simple_name];Khai báo các thành phần:Component Tên_componemt port [ danh sách ]; End component;Như với ví dụ mô tả mô hình cấu trúc một flip-flop RS gồm hai cổngNAND có thể mô tả cổng NAND được định nghĩa tương tự như ví dụ với cổngNOT, sau đó mô tả sơ đồ móc nối các phần tử NAND tạo thành trigơ RSVí dụ:Architecture arc_mach_cong of mach_cong isSinh viên : Phạm Quang Dũng GVHD : Ths. Nguyễn Văn Thắng10Báo cáo thực tập chuyên ngành Component Xor Port( X,Y : in bit ; Z, T : out bit); End component; Component And Port(L,M :input ;N,P : out bit ); End component;Begin G1 : Xor port map (A,B,Sum); G2 : And port map (A, B, C);End arc_mach_cong;+ Mô tả kiến trúc theo mô hình tổng hơpĐó là mô hình kết hợp của 2 mô hình trên.Ví dụ:Entity adder is Port (A,B,Ci : bit S, Cout : bit);End adder;Architecture arc_mixed of adder isComponent Xor2 Port( P1, P2 : in bit;PZ : out bit);End compenent;Signal S1 :bit;BeginX1 : Xor2 port map(A,B,S1);Process (A,B,Cin)Variable T1,T2,T3 : bit;BeginT1 := A and B;T2 := B and Cin ;T3 := A and Cin;Cout := T1 or T2 or T3 ;End process;End arc_mixed ;Sinh viên : Phạm Quang Dũng GVHD : Ths. Nguyễn Văn Thắng11Báo cáo thực tập chuyên ngành CHƯƠNG 3 : KIỂU DỮ LIỆUĐể viết mã VHDL một cách hiệu quả, thật cần thiết để biết rằng các kiểudữ liệu nào được cho phép, làm thế nào để định rõ và sử dụng chúng. Trongchương này, tất cả các kiểu dữ liệu cơ bản sẽ được mô tả.3.1. Các kiểu dữ liệu tiền định nghĩa.VHDL bao gồm một nhóm các kiẻu dữ liệu tiền định nghĩa, được định rõthông qua các chuẩn IEEE 1076 và IEEE 1164. Cụ thể hơn, việc định nghĩakiểu dữ liệu như thế có thể tìm thấy trong các gói/ thư viện sau:• Gói standard của thư viện std: Định nghĩa cáckiểu dữ liệu BIT, BOOLEAN, INTEGER và REAL.• Gói std_logic_1164 của thư viện ieee: Định nghĩakiểu dữ liệu STD_LOGIC và STD_ULOGIC.• Gói std_logic_arith của thư viện ieee: Định nghĩa SIGNED vàUNSIGNED, cộng thêm nhiều hàm chuyển đổi dữ liệu ví dụ:conv_integer(p), conv_unsigned(p, b), conv_signed(p, b), vàconv_std_logic_vector(p, b).• Gói std_logic_signed và std_logic_unsigned củathư viện ieee: Chứa các hàm cho phép họat động với dữ liệuSTD_LOGIC_VECTOR được thực hiện khi mà kiểu dữ liệu là SIGNEDhọăc UNSIGNED.Tất cả các kiểu dữ liệu tiền định nghĩa đã nêu trên được mô tả như sau:+ BIT và BIT_VECTOR: 2 mức logic (‘0’, ’1’). Ví dụ: SIGNAL x: BIT; x được khai báo như một tín hiệu số kiểu BIT.SIGNAL y: BIT_VECTOR (3 DOWNTO 0); y là một vec tơ 4 bit, với bit bên trái nhất được gọi là MSB.SIGNAL w: BIT_VECTOR (0 TO 7); w là một véc tơ8 bit, phía bên phải nhất được gọi là MSBDựa vào các tín hiệu ở trên, các phép gán sau đây là hợp lệ ( để gán mộtgiá trị đến một tín hiệu, toán tử <= được sử dụng):x <= “1”;y <= “0111”;z <= “01110001”; + STD_LOGIC ( và STD_LOGIC_VECTOR): Hệ logic 8 giá trị sau đây được giới tiệu trong chuẩn IEEE 1164:‘X’ không xác định ( bắt buộc)‘0’ mức thấp ( bắt buộc)‘1’ mức cao ( bắt buộc)‘Z’ trở kháng cao‘W’ không xác định (yếu)‘L’ mức thấp ( yếu)Sinh viên : Phạm Quang Dũng GVHD : Ths. Nguyễn Văn Thắng12Báo cáo thực tập chuyên ngành ‘H’ mức cao ( yếu)‘-’ không quan tâmVí dụ:SIGNAL x: STD_LOGIC; x được khai báo như một ký tự số ( vô hướng), tín hiệu thuộckiểu STD_LOGICSIGNAL y: STD_LOGIC_VECTOR (3 DOWNTO 0) := "0001"; y được khai báo như một vector 4-bit, với bit bên trái cùng là MSB. Giá trị khởi đầu của y là "0001". Lưu ý rằng toán tử ":=" được sử dụng để thiết lập giá trị khởi đầu.Hầu hết các mức std_logic là vô hướng chỉ đối với quá trình mô phỏng.Tuy nhiên ‘0’, ‘1’ và ‘Z’ là có thể kết hợp không hạn chế. Đối với các giá trị“weak”, chúng được giải quyết trong sự ưu tiên của các giá trị “forcing” trongcác nút đa chiều ( Bảng 3.1). Thật vậy, nếu 2 tín hiệu std_logic bất kỳ được nốiđến cùng một node, thì các mức logic đối lập được tự động giải quyết theoBảng 3.1Bảng 3.1. Hệ thống logic giải được+ STD_ULOGIC( STD_ULOGIC_VECTOR): hệ thống logic 9 mức trongchuẩn IEEE 1164: (‘U’, ‘X’, ‘0’, ‘1’, ‘Z’, ‘W’, ‘L’, ‘H’, ‘–’). Thật vậy, hệSTD_LOGIC mô tả ở trên là một tập con của STD_ULOGIC. Hệ thống thứ 2này thêm giá trị logic ‘U’.• BOOLEAN: đúng/sai• INTEGER: số nguyên 32 bits ( từ -2.147.483.647 đến+2.147.483.647)• NATURAL: msố nguyên không âm ( từ 0 đến +2.147.483.647)• REAL: số thực nằm trong khoảng ( từ -1.0E38 đến +1.0E38). • Physic literals: sử dụng đối với các đại lượng vật lý, như thời gian,điện áp,…Hữu ích trong mô phỏng• Character literals: ký tự ASCII đơn hoặc một chuỗi các ký tự như thế• SIGNED và UNSIGNED: các kiểu dữ liệu được định nghĩa trong góistd_logic_arith của thư viện ieee. Chúng có hình thức giống nhưSTD_LOGIC_VECTOR, nhưng ngọai trừ các toán tử số học, mà tiêubiểu là kiểu dữ liệu INTEGERCác ví dụ:x0 <= '0'; bit, std_logic, or std_ulogic value '0'Sinh viên : Phạm Quang Dũng GVHD : Ths. Nguyễn Văn Thắng13Báo cáo thực tập chuyên ngành x1 <= "00011111"; bit_vector, std_logic_vector, std_ulogic_vector, signed, or unsignedx2 <= "0001_1111"; đường gạch dưới cho phép dễ hình dunghơnx3 <= "101111" biểu diễn nhị phân của số thập phân 47x4 <= B"101111" như trênx5 <= O"57" biểu diễn bát phân của số thập phân47x6 <= X"2F" biẻu diễn số thập lục phân của sốthập phân 47n <= 1200; số nguyênm <= 1_200; số nguyên, cho phép gạch dướiIF ready THEN Logic, thực hiện nếu ready=TRUEy <= 1.2E-5; real, not synthesizableq <= d after 10 ns; physical, not synthesizableVí dụ: Các toán tử được phép và không được phép nằm giữa các kiểu dữ liệukhác nhau:SIGNAL a: BIT;SIGNAL b: BIT_VECTOR(7 DOWNTO 0);SIGNAL c: STD_LOGIC;SIGNAL d: STD_LOGIC_VECTOR(7 DOWNTO 0);SIGNAL e: INTEGER RANGE 0 TO 255; a <= b(5); được phép (cùng kiểu vô hướng: BIT)b(0) <= a; được phép (cùng kiểu vô hướng: BIT)c <= d(5); được phép (cùng kiểu vô hướng: STD_LOGIC)d(0) <= c; được phép (cùng kiểu vô hướng: STD_LOGIC)a <= c; không được phép (không thể kết hợp kiểu: BIT xSTD_LOGIC)b <= d; không được phép (không thể kết hợp kiểu:BIT_VECTOR x STD_LOGIC_VECTOR)e <= b; không được phép (không thể kết hợp kiểu: INTEGER xBIT_VECTOR)e <= d; không được phép (không thể kết hợp kiểu: INTEGER x STD_LOGIC_VECTOR)3.2. Các kiểu dữ liệu người dùng định nghĩa.VHDL cũng cho phép người dùng tự định nghĩa các kiểu dữ liệu. Hailoại kiểu dữ liệu người dùng định nghĩa được chỉ ra dưới đây bao gồm integervà enumerated.Kiểu integer người dùng định nghĩa:TYPE integer IS RANGE -2147483647 TO +2147483647; Thực ra kiểu này đã được định nghĩa trước bởi kiểu INTEGER.TYPE natural IS RANGE 0 TO +2147483647;Sinh viên : Phạm Quang Dũng GVHD : Ths. Nguyễn Văn Thắng14Báo cáo thực tập chuyên ngành Thực ra kiểu này được đã định nghĩa trước bởi kiểuNATURAL.TYPE my_integer IS RANGE -32 TO 32; Một tập con các số integer mà người dùng định nghĩa.TYPE student_grade IS RANGE 0 TO 100; Một tập con các số nguyên hoặc số tự nhiên người dùng địnhnghĩa._ Các kiểu đếm người dùng đinh nghĩa:TYPE bit IS ('0', '1'); Được định nghĩa trước bởi kiểu BITTYPE my_logic IS ('0', '1', 'Z'); Một tập con của std_logic mà người dùng định nghĩaTYPE bit_vector IS ARRAY (NATURAL RANGE <>) OF BIT; đã được định nghĩa trước bởi BIT_VECTOR. RANGE <> được sủ dụng để chỉ thị rằng các mức.không giớihạn. NATURAL RANGE <>, on the other hand, indicates that theonly restriction is that the range must fall within the NATURAL range.TYPE state IS (idle, forward, backward, stop); Một kiểu dữ liệu , điển hình của các máy trạng thái hữu hạn.TYPE color IS (red, green, blue, white); Kiểu dữ liệu liệt kê khác.Việc mã hóa các kiểu liệt kê được thực hiện một cách tuần tự và tự động.Ví dụ: Cho kiểu màu như ở trên, để mã hóa cần 2 bit ( có 4 trạng thái),bắt đầu ’00’ được gán cho trạng thái đầu tiên ( red), ‘01’ được gán cho trạngthái thứ hai (green), ‘10’ kế tiếp (blue) và cuối cùng là trạng thái ‘11’ (while).3.3. Các kiểu con (Subtypes).Kiểu dữ liệu con là một kiểu dữ liệu đi kèm theo điều kiện ràng buộc. Lýdo chính cho việc sử dụng kiểu dữ liệu con để sau đó định ra một kiểu dữ liệumới đó là, các thao tác giữa các kiểu dữ liệu khác nhau không được cho phép,chúng chỉ được cho phép trong trường hợp giữa một kiểu con và kiểu cơ sởtương ứng với nó.Ví dụ: kiểu dữ liệu sau đây nhận được các kiểu dữ liệu được giới thiệutrong các ví dụ phần trước.SUBTYPE natural IS INTEGER RANGE 0 TO INTEGER'HIGH; NATURAL is a kiểu con (tập con) of INTEGER.SUBTYPE my_logic IS STD_LOGIC RANGE '0' TO 'Z'; Gọi lại STD_LOGIC=('X','0','1','Z','W','L','H','-'). Do đó, my_logic=('0','1','Z').SUBTYPE my_color IS color RANGE red TO blue; khi color=(red, green, blue, white), thì my_color=(red, green, blue).Sinh viên : Phạm Quang Dũng GVHD : Ths. Nguyễn Văn Thắng15Báo cáo thực tập chuyên ngành SUBTYPE small_integer IS INTEGER RANGE -32 TO 32; Một tập con của INTEGER.Example: Các phép toán hợp lệ và không hợp lệ giữa các kiểu dữ liệu vàcác kiểu dữ liệu con.SUBTYPE my_logic IS STD_LOGIC RANGE '0' TO '1';SIGNAL a: BIT;SIGNAL b: STD_LOGIC;SIGNAL c: my_logic; b <= a; không hợp lệ (không thể kết hợp kiểu: BIT với STD_LOGIC)b <= c; hợp lệ (cùng kiểu cơ sở: STD_LOGIC)3.4. Mảng (Arrays).Mảng là một tập hợp các đối tượng có cùng kiểu. Chúng có thể là mộtchiều (1D), 2 chiều (2D) họăc một chiều của một chiều (1D x 1D) và cũng cóthể có những kích thước cao hơn.Hình 3.1 minh họa việc xây dựng một mảng dữ liệu. Một giá trị đơn ( vôhướng được chỉ ra ở (a), một vector ( mảng 1D) ở (b) và một mảng các vector( mảng 1Dx1D) ở (c) và mảng của mảng 2D như trong (d)Thật vậy, các kiểu dữ liệu VHDL được định nghĩa trước đó (mục 3.1) chỉbao gồm các đại lượng vô hướng-scalar ( bit đơn) và vector ( mảng một chiểucác bit). Các kiểu dữ liệu có thể kết hợp trong mỗi loại này là như dưới đây:_ Scalars: BIT, STD_LOGIC, STD_ULOGIC, and BOOLEAN._ Vectors: BIT_VECTOR, STD_LOGIC_VECTOR,STD_ULOGIC_VECTOR,INTEGER, SIGNED, and UNSIGNED. Hình 3.1: Minh họa scalar (a), 1D (b), 1Dx1D (c), và 2D (d)Như có thể thấy, không hề có định nghĩa trước mảng 2D hoặc 1Dx1D,mà khi cần thiết, cần phải được chỉ định bởi người dùng. Để làm như vậy, mộtkiểu mới (new TYPE) cần phải được định nghĩa đầu tiên, sau đó là tín hiệu mới(new SIGNAL), new VARIABLE họăc CONSTANT có thể được khai báo sửdụng kiểu dữ liệu đó. Cú pháp dưới đây sẽ được dùng:Để chỉ định một kiểu mảng mới:TYPE type_name IS ARRAY (specification) OF data_type;Để tạo sử dụng kiểu mảng mới:SIGNAL signal_name: type_name [:= initial_value];Trong cú pháp ở trên, một SIGNAL được khai báo. Tuy nhiên nó cũngcó thể là một CONSTANT hoặc một VARIABLE. Gia trị khởi tạo tùy chọn.3.5. Mảng cổng ( Port Array).Sinh viên : Phạm Quang Dũng GVHD : Ths. Nguyễn Văn Thắng16Báo cáo thực tập chuyên ngành Như chúng ta đã biết, không có kiểu dữ liệu được định nghĩa trước nàocó hơn một chiều. Tuy nhiên, trong các đặc điểm của các chân vào hoặc ra (cácPORT) của một mạch điện ( mà được xây dựng thành ENTITY), chúng ta cóthể phải cần định rõ các PORT như là mảng các VECTOR Khi các khai báo TYPE không được cho phép trong một ENTITY, giảipháp để khai báo kiểu dữ liệu người dùng định nghĩa trong một PACKAGE, màcó thể nhận biết toàn bộ thiết kế. Một ví dụ như sau: Package: LIBRARY ieee;USE ieee.std_logic_1164.all; PACKAGE my_data_types ISTYPE vector_array IS ARRAY (NATURAL RANGE <>) OFSTD_LOGIC_VECTOR(7 DOWNTO 0);END my_data_types; Main code: LIBRARY ieee;USE ieee.std_logic_1164.all;USE work.my_data_types.all; user-defined package ENTITY mux ISPORT (inp: IN VECTOR_ARRAY (0 TO 3); );END mux; ; Có thể thấy trong ví dụ trên, một kiểu dữ liệu người dùng định nghĩađược gọi là vector_array, đã được tạo ra, mà nó có thể chứa một số không xácđịnh các vector, mỗi vector chứa 8 bit. Kiểu dữ liệu được lưu giữ trong mộtPACKAGE gọi là my_data_types, và sau đó được sử dụng trong một ENTITYđể xác định một PORT được gọi. Chú ý trong đoạn mã chính bao gồm thêm cảmột mệnh đề USE để thực hiện gói người dùng định nghĩa my_data_types cóthể thấy trong thiết kế.Chức năng khác cho PACKAGE ở trên sẽ được trình bày dưới đây, nơimà có khai báo CONSTANT: Package: LIBRARY ieee;USE ieee.std_logic_1164.all; PACKAGE my_data_types ISCONSTANT b: INTEGER := 7;TYPE vector_array IS ARRAY (NATURAL RANGE <>)OFSinh viên : Phạm Quang Dũng GVHD : Ths. Nguyễn Văn Thắng17Báo cáo thực tập chuyên ngành STD_LOGIC_VECTOR(b DOWNTO 0);END my_data_types; 3.6. Kiểu bản ghi (Records).Bản ghi tương tự như mảng, với điểm khác rằng chúng chứa các đốitượng có kiểu dữ liệu khác nhau.Ví dụ:TYPE birthday IS RECORDday: INTEGER RANGE 1 TO 31;month: month_name;END RECORD;3.7. Kiểu dữ liệu có dấu và không dấu ( Signed and Unsigned).Như đã đề cập trước đây, các kiểu dữ liệu này được định nghĩa trong góistd_logic_arith của thư viện ieee. Cú pháp của chúng được minh họa trong vídụ dưới đây:Ví dụ:SIGNAL x: SIGNED (7 DOWNTO 0);SIGNAL y: UNSIGNED (0 TO 3); Lưu ý rằng cú pháp của chúng tương tự với STD_LOGIC_VECTOR,không giống như INTEGER.Một giá trị UNSIGNED là một số không bao giờ nhỏ hơn zero. Ví dụ,“0101” biểu diễn số thập phân 5, trong khi “1101” là 13. Nhưng nếu kiểuSIGNED được sử dụng thay vào, giá trị có thể là dương hoặc âm ( theo địnhdạng bù 2). Do đó, “0101” vẫn biểu diễn số 5, trong khi “1101” sẽ biểu diễn số-3Để sử dụng kiểu dữ liệu SIGNED hoặc UNSIGNED, gói std_logic_arithcủa thư viện ieee, phải được khai báo. Bất chấp cú pháp của chúng, kiểu dữ liệuSIGNED và UNSIGNED có hiệu quả chủ yếu đối với các phép toán số học,nghĩa là, ngược với STD_LOGIC_VECTOR, chúng chấp nhận các phép toánsố học. Ở một khía cạnh khác, các phép toán logic thì không được phép* Ví dụ: Các phép toán số học với std_logic_vectorLIBRARY ieee;USE ieee.std_logic_1164.all;USE ieee.std_logic_unsigned.all; bao gồm gói thêm vào SIGNAL a: IN STD_LOGIC_VECTOR (7 DOWNTO 0);SIGNAL b: IN STD_LOGIC_VECTOR (7 DOWNTO 0);SIGNAL x: OUT STD_LOGIC_VECTOR (7 DOWNTO 0); v <= a + b; hợp lệ (phép toán số học OK), không dấuw <= a AND b; hợp lệ (phép toán logic OK).3.8. Chuyển đổi dữ liệu.VHDL không cho phép các phép toán trực tiếp ( số học, logic, …) tácđộng lên các dữ liệu khác kiểu nhau. Do đó, thường là rất cần thiết đối với việcSinh viên : Phạm Quang Dũng GVHD : Ths. Nguyễn Văn Thắng18Báo cáo thực tập chuyên ngành chuyển đổi dữ liệu từ một kiểu này sang một kiểu khác. Điều này có thể đượcthực hiện trong hai cách cơ bản: hoặc chúng ta viết một ít code cho điều đó,hoặc chúng ta gọi một FUNCTION từ một gói được định nghĩa trước mà nócho phép thực hiện các phép biến đổi cho ta.Nếu dữ liệu được quan hệ đóng ( nghĩa là 2 toán hạng có cùng kiểu cơsở, bất chấp đang được khai báo thuộc về hai kiểu lớp khác nhau), thìstd_logic_1164 của thư viện ieee cung cấp các hàm chuyển đổi dễ thực hiện.* Ví dụ: các phép toán hợp lệ và không hợp lệ đối với các tập conTYPE long IS INTEGER RANGE -100 TO 100;TYPE short IS INTEGER RANGE -10 TO 10;SIGNAL x : short;SIGNAL y : long; y <= 2*x + 5; lỗi, không phù hợp kiểuy <= long(2*x + 5); OK, kết quả được chuyển đổi thànhkiểu longNhiều hàm chuyển đổi dữ liệu có thể được tìm trong gói std_logic_arithcủa thư viện ieee:o conv_integer(p): chuyển đổi một tham số p của kiểu INTEGER,UNSIGNED, SIGNED, hoặc STD_ULOGIC thành một giá trịINTEGER. Lưu ý rằng STD_LOGIC_VECTOR không được kể đến.o conv_unsigned(p, b): chuyển đổi một tham số p của kiểu INTEGER,UNSIGNED, SIGNED, hoặc STD_ULOGIC thành một giá trịUNSIGNED với kích cỡ là b bit.o conv_signed(p, b): chuyển đổi một tham số p của kiểu INTEGER,UNSIGNED, SIGNED, hoặc STD_ULOGIC thành một giá trịSIGNED với kích cỡ là b bits.o conv_std_logic_vector(p, b): chuyển đổi một tham số p thuộc kiểu dữliệu INTEGER, UNSIGNED, SIGNED, hoặc STD_LOGIC thànhmột giá trị STD_LOGIC_VECTOR với kích thước b bits.* Ví dụ: chuyển đổi dữ liệu:LIBRARY ieee;USE ieee.std_logic_1164.all;USE ieee.std_logic_arith.all; SIGNAL a: IN UNSIGNED (7 DOWNTO 0);SIGNAL b: IN UNSIGNED (7 DOWNTO 0);SIGNAL y: OUT STD_LOGIC_VECTOR (7 DOWNTO 0); y <= CONV_STD_LOGIC_VECTOR ((a+b), 8); Phép toán hợp lệ: a+b được chuyển đổi từ UNSIGNED thànhmột giá trị 8-bit STD_LOGIC_VECTOR, sau đó gán cho y.Sinh viên : Phạm Quang Dũng GVHD : Ths. Nguyễn Văn Thắng19Báo cáo thực tập chuyên ngành Một cách khác có thể chọn đã được đề cập đến trong mục trước đây. Nóbao gồm việc sử dụng các gói std_logic_signed và std_logic_unsigned từ thưviện ieee. Các gói này cho phép các phép toán với dữ liệuSTD_LOGIC_VECTOR được thực hiện nếu dữ liệu đã là kiểu SIGNED hoặcUNSIGNED, một cách lần lượt.Sinh viên : Phạm Quang Dũng GVHD : Ths. Nguyễn Văn Thắng20Báo cáo thực tập chuyên ngành CHƯƠNG 4 : TOÁN TỬ VÀ THUỘC TÍNH4.1.Toán tử.VHDL cung cấp một số toán tử sau: Toán tử gán.  Toán tử logic. Toán tử toán học. Toán tử so sánh. Toán tử dịch.Sau đây chúng ta sẽ xem xét cụ thể từng toán tử một.4.1.1 Toán tử gán.VHDL định nghĩa ba loại toán tử gán sau:<=: Dùng gán giá trị cho SIGNAL.:= : Dùng gán giá trị cho VARIABLE, CONSTANT,GENERIC.=>: Dùng gán giá trị cho thành phần các vector và các loại giá trị khác.Ví dụ:SIGNAL x : STD_LOGIC;VARIABLE y : STD_LOGIC_VECTOR(3 DOWNTO 0);SIGNAL w: STD_LOGIC_VECTOR(0 TO 7);x <= '1'; y := "0000w <= "10000000"; w <= (0 =>'1', OTHERS =>'0'); 4.1.2 Toán tử Logic.VHDL định nghĩa các toán tử logic sau: NOT, AND, OR, NAND, NOR, XOR, XNORDữ liệu cho các toán tử này phải là kiểu: BIT, STD_LOGIC, STD_ULIGIC, BIT_VECTOR, STD_LOGIC_VECTOR, STD_ULOGIC_VECTOR.Ví dụ:y <= NOT a AND b; y <= NOT (a AND b); y <= a NAND b;4.1.3 Toán tử toán học.Các toán tử này dùng cho các kiểu dữ liệu số như là:INTEGER, SIGNED, UNSIGNED, REAL. Các toán tử bao gồm:+ Toán tử cộng.- Toán tử trừ.* Toán tử nhân./ Toán tử chia.** Toán tử lấy mũ.MOD Phép chia lấy phần nguyên.Sinh viên : Phạm Quang Dũng GVHD : Ths. Nguyễn Văn Thắng21Báo cáo thực tập chuyên ngành REM Phép chia lấy phần dư.ABS Phép lấy giá trị tuyệt đối.4.1.4 Toán tử so sánh.Có các toán tử so sánh sau:= So sánh bằng/= So sánh không bằng.< So sánh nhỏ hơn.> So sánh lớn hơn.<= So sánh nhỏ hơn hoặc bằng.>= So sánh lớn hơn hoặc bằng.4.1.5 Toán tử dịch.Cú pháp sử dụng toán tử dịch là:<left operand> <shift operation> <right operand>Trong đó <left operand> có kiểu là BIT_VECTOR, còn <right operand> có kiểu là INTEGER. Có hai toán tử dịch:Sll Toán tử dịch trái. Điền 0 vào phía phải.Rll Toán tử dịch phải. Điền 0 vào phía trái.4.2.Thuộc tính.4.1.1. Thuộc tính dữ liệu.VHDL cung cấp các thuộc tính sau.d’LOW Trả về giá trị nhỏ nhất của chỉ số mảng.d’HIGH Trả về chỉ số lớn nhất của mảng.d’LEFT Trả về chỉ số bên trái nhất của mảng.d’RIGHT Trả về chỉ số bên phải nhất của mảng.d’LENGTH Trả về kích thước của mảng.d’RANGE Trả về mảng chứa chỉ số.d’REVERSE_RANGE Trả về mảng chứa chỉ số được đảo ngược.Ví dụ: Nếu d là một vector được khai báo như sau:SIGNAL d : STD_LOGIC_VECTOR(0 TO 7)Ta sẽ có: d'LOW = 0, d'HIGH = 7, d'LEFT = 7, d'RIGHT = 0, d'LENGTH =8, d'RANGE = (7 downto 0), d'REVERSE_RANGE = (0 to 7).Các thuộc tính này có thể dùng trong các vòng lặp: FOR i IN RANGE (0 TO 7) LOOP FOR i IN x'RANGE LOOP FOR i IN RANGE (x'LOW TO x'HIGH) LOOP FOR i IN RANGE (0 TO x'LENGTH-1) LOOP Nếu tín hiệu có kiểu liệt kê thì:d’VAL(pos) Trả về giá trị tại pos.d’POS(val) Trả về vị trí có giá trị là val.d’LEFTOF(value) Trả về giá trị ở vị trí bên trái của value.d’VAL(row,colum) Trả về giá trị ở một vị trí đặc biệt.4.1.2. Thuộc tính tín hiệu.Sinh viên : Phạm Quang Dũng GVHD : Ths. Nguyễn Văn Thắng22Báo cáo thực tập chuyên ngành Các thuộc tính loại này chỉ được áp dụng đối với dữ liệu SIGNAL. Nếu slà một SIGNAL thì ta có : s’EVENT : Trả về true khi một sự kiện xảy ra đối với s. s’STABLE: Trả về true nếu không có sự kiện nào xảy ra đối với s. s’ACTIVE: Trả về true khi s = 1. s’QUIET<time>: Trả về true khi trong khoảng thời gian time khong cósự kiện nào xảy ra. s’LAST_EVENT: Trả về thời gian trôi qua kể từ sự kiện cuối cùng s’LAST_ACTIVE: Trả về thới gian kể từ lần cuối cùng s = 1 s’LAST_VALUE: Trả về giá trị của s trước sự kiện trước đó. Trong các thuộc tính trên thì thuộc tính s’EVENT là hay được dùng nhất.Vi dụ: Đây là ví dụ với tín hiệu đồng hồ.IF (clk'EVENT AND clk='1') IF (NOT clk'STABLE AND clk='1') WAIT UNTIL (clk'EVENT AND clk='1'); IF RISING_EDGE(clk) 4.3. Thuộc tính được định nghĩa bởi người dùng.VHDL, ngoài việc cung cấp các thuộc tính có sẵn nó còn cho phép ngườidùng tự định nghĩa các thuộc tính. Các thuộc tính này muốn sử dụng cần phải khai báo và mô tả rõ ràng theo cấu trúc sau:ATTRIBUTE <attribute_name>:< attribute_type>;ATTRIBUTE <attribute_name> OF< target_name>: <class> IS <value>;Trong đó + attribute_type là kiểu dữ liệu.+ Class : SIGNAL, TYPE, FUNCTION.Ví dụ : ATTRIBUTE number_of_inputs: INTEGER; ATTRIBUTE number_of_inputs OF nand3: SIGNAL IS 3;4.4. Chồng toán tử.Cũng giống như các thuộc tính được định nghĩa bởi người dùng. Trong VHDL ta cũng có thể xây dựng chồng các toán tử toán học. Để xây dựng chồngcác toán tử này ta cần phải chỉ rõ loại dữ liệu tham gia. Ví dụ như toán tử + ở trên chỉ áp dụng cho các loại dữ liệu cùng kiểu số.Bây giờ ta xây dựng toán tử +dùng để cộng một số INTEGER với một BIT.FUNCTION "+" (a: INTEGER, b: BIT) RETURN INTEGER ISBEGINIF (b='1') THEN RETURN a+1;ELSE RETURN a;END IF;END "+"Sinh viên : Phạm Quang Dũng GVHD : Ths. Nguyễn Văn Thắng23Báo cáo thực tập chuyên ngành 4.5.GENERIC.GENERIC là một cách tạo các tham số dùng chung (giống như các biến static trong các ngôn ngữ lập trình). Mục đích là để cho các đoạn code mềm dẻovà dễ sử dụng lại hơn.Một đoạn GENERIC khi được sử dụng cần phải được mô tả trong ENTITY. Các tham số phải được chỉ rõ. Cấu trúc như sau:GENERIC (parameter_name : parameter_type := parameter_value);Ví dụ: Ví dụ sau sẽ định nghĩa biến n có kiểu INTEGER và là GENERIC nó có giá trị mặc định là 8. Khi đó khi n được gọi ở bất kỳ đâu, trong một ENTITY hay một ARCHITECTURE theo sau đó giá trị của nó luôn là 8.ENTITY my_entity ISGENERIC (n : INTEGER := 8);PORT ( );END my_entity;ARCHITECTURE my_architecture OF my_entity IS END my_architecture; Có thể có nhiều hơn 1 tham số GENERIC được mô tả trong một ENTITY. Ví dụ:GENERIC (n: INTEGER := 8; vector: BIT_VECTOR := "00001111");Sinh viên : Phạm Quang Dũng GVHD : Ths. Nguyễn Văn Thắng24Báo cáo thực tập chuyên ngành CHƯƠNG 5 : MÃ SONG SONG5.1.Song song và tuần tự.Đầu tiên chúng ta sẽ xem xét sự khác biệt giữa mạch tổ hợp và mạch dãysau đó sẽ xem sét sự khác biệt giữa mã nguồn tuần tự và mã song song.5.1.1. Mạch tổ hợp và mạch dãy.Mạch tổ hợp là mạch mà đầu ra của mạch chỉ phụ thuộc vào đầu vào củahệ tại thời điểm hiện tại. Từ đó ta thấy, hệ này không cần yêu câu bộ nhớ vàchúng được tạo thành chỉ từ các cổng logic cơ bản.Mạch dãy là mạch mà đầu ra của mạch còn phụ thuộc vào cả đầu vàotrong quá khứ của mạch. Từ đó ta thấy đối với hệ này cần phải có bộ nhớ vàmột vòng phản hồi tín hiệu. Hính sau đây mô tả hai loại mạch này.Hình 5.1. Mạch tổ hợp và mạch dãy5.1.2. Mã song song và mã tuần tự. Mã nguồn VHDL là song song. Chỉ các đoạn mã trong một PROCESS,FUNCTION, PROCEDURE là tuần tự. Các khối này được thực hiện mộtcách tuần tự. Mã song song đươc gọi là mã luồng dữ liệu ( dataflow code).Ví dụ. Một đoạn mã gồm ba khối lệnh song song ( stat1, stat 2, stat3).Khi đó các đoạn sau sẽ thực hiện cùng một lúc trong mạch vật lý.Các đoạn mã song song không thể sử dụng các thành phần của mạchđồng bộ ( hoạt động chỉ xảy ra khi có sự đồng bộ của xung đồng hồ.). Một cáchkhác chúng ta chỉ có thể xây dựng dựa trên các mạch tổ hợp. Trong mục nàychúng ta tìm hiểu về các đoạn mã song song. Chúng ta chỉ tìm hiểu các đoạn mãđược sử dụng bên ngoài PROCESS, FUNCTION, PROCEDURES. Chúng làcác khối lện WHEN và GENERATE. Bên cạnh đó, các phép gán dùng các toántử được sử dụng để tạo các mạch tổ hợp. Cuối cùng một loại khối lện đặc biệtđược gọi là BLOCK sẽ được sử dụng.5.2.Sử dụng các toán tử.Đây là cách cơ bản nhất dùng để tạo các đoạn mã song song. Các toán tử(AND, OR, ) được tìm hiểu ở trên sẽ được liệt kê ở bảng dưới đây. Các toánSinh viên : Phạm Quang Dũng GVHD : Ths. Nguyễn Văn Thắng25